Posted in | News | Laser | Optics and Photonics

LPP Light Source from EUVA Achieves World's Highest Power Output

Gigaphoton Inc., a major lithography light source manufacturer for the global semiconductor industry, today announced that an extreme ultraviolet (EUV) light source developed by the technology consortium Extreme Ultraviolet Lithography System Development Association has achieved the world's highest output—104 Watts.

Today's milestone marks an industry first and signals a significant step forward in the realization of the first volume-production-worthy EUV light source shipment targeted for 2011. The achievement was made under the Laser Produced Plasma (LPP) Light Source Development Program for EUV Lithography, which is promoted by EUVA. As an EUVA member company, Gigaphoton has been working on the development of LPP light sources for EUV lithography since 2002.

As double patterning lithography using 193-nm argon fluoride (ArF) immersion lithography tools approaches the limits of half-pitch scaling in advanced semiconductor manufacturing, an EUV light source with a shorter wavelength of 13.5 nm is considered to be an essential solution that will allow Moore's law to be extended over the next several generations of semiconductor devices. However, one of the biggest challenges facing EUV lithography tools is the ability to achieve a higher output power, which is critical for volume production applications. Gigaphoton's achievement in this area tackles a key hurdle and is a result of years of development work in EUV light source technology. This work has included major breakthroughs in the use of tin (Sn) targets and carbon dioxide (CO2) lasers for improved conversion efficiency, as well as the use of magnetic fields for debris removal. Today's announcement verifies the success of this combination of technologies.

"The ability to achieve a light source output of 100 Watts will drive the cost of ownership of EUV lithography tools down to match that of double-patterning immersion lithography tools. We believe that this, in turn, will increase industry support for EUV lithography and accelerate momentum on achieving further breakthroughs in power to meet the needs for future patterning requirements," said Dr Yuji Watanabe, president of Gigaphoton. "During this quarter, we will begin ramping operations within our state-of-the-art factory to commence initial volume production of EUV light sources with shipments slated for 2011. We are not only excited, but prepared to take advantage of the opportunities and potential afforded by EUV."

Source: https://www.gigaphoton.com/

Tell Us What You Think

Do you have a review, update or anything you would like to add to this news story?

Leave your feedback
Your comment type
Submit

While we only use edited and approved content for Azthena answers, it may on occasions provide incorrect responses. Please confirm any data provided with the related suppliers or authors. We do not provide medical advice, if you search for medical information you must always consult a medical professional before acting on any information provided.

Your questions, but not your email details will be shared with OpenAI and retained for 30 days in accordance with their privacy principles.

Please do not ask questions that use sensitive or confidential information.

Read the full Terms & Conditions.